VHDL code generator